Transmission Line Identification Via Impedance Inhomogeneity Pattern

Abstract

This paper reports a new physical unclonable function (PUF) technology, namely, transmission line (TX-Line) PUF. We experimentally showed that using the intrinsic impedance inhomogeneity pattern, variation of characteristic impedance over distance, of any TX-Line, one can identify the TX-Line with high verification accuracy. The TX-Line PUF information can be extracted using either frequency domain reflectometry (FDR) or time domain reflectometry (TDR) methods. A coaxial cable as short as 2 cm was identified with an equal error rate (EER) of below 0.1%. The impact of TX-Line length, interrogation bandwidth, and environmental change toward the TX-Line PUF were experimentally studied. Different TX-Line PUF comparison algorithms were proposed and studied. A homodyne detection instrument was built to extract TX-Line PUF. A TDR-based PUF extraction method was also demonstrated. Twisted pair TX-Line PUF was demonstrated with similar performance as coaxial cable TX-Line PUF.

Department(s)

Electrical and Computer Engineering

Research Center/Lab(s)

Intelligent Systems Center

Keywords and Phrases

Physical Unclonable Function; Transmission Line Identification; Impedance Inhomogeneity

International Standard Serial Number (ISSN)

2469-7281

Document Type

Article - Journal

Document Version

Citation

File Type

text

Language(s)

English

Rights

© 2019 Institute of Electrical and Electronics Engineers (IEEE), All rights reserved.

Publication Date

01 Dec 2019

Share

 
COinS